Georgia Tech > CoE > ECE > MARS [ MARS | People | Research | Publications | Software | Internal ]
All Papers Journal Articles Conference Papers Workshop and Poster Book Chapters Theses

All Technical Papers (by date)
Systems for Machine Learning
Sustainability
Conventional Processor Architecture and Compilers, Performance Modeling
Secure, Dependable and Autonomic Computing, DRM
Embedded Computing
Low-Power Techniques
FPGA Techniques
3D ICs, SoC, Physical Design and EDA Tools
Multicore, Parallel Architecture and Systems
Support for 3D Graphics



Refereed Journal Articles

IEEE MICROVivek Parmer, Syed Shakib Sarwar, Ziyun Li, Hsien-Hsin S. Lee, Barbara De Salvo, Manan Suri. "Exploring Memory-Oriented Design Optimization of Edge-AI Hardware for Extended Reality Applications." In IEEE MICRO Special Issue on TinyML, Volume 43, Issue 6, 2023.
J. SupercomputHong Jun Choi, Dong Oh Son, Seung Gu Kang, Jong Myon Kim, Hsien-Hsin Lee, and Cheol Hong Kim. "An Efficient Scheduling Scheme Using Estimated Execution Time for Heterogeneous Computing Systems." In Jounral of Supercomputing, Vol.65, Issue 2, pp.886-902, 2013..
[pdf]
IEEE TVLSIDong Hyuk Woo, Nak Hee Seong, and Hsien-Hsin S. Lee. "Pragmatic Integration of An SRAM Row Cache in Heterogeneous 3-D DRAM Architecture using TSV." In IEEE Transactions on Very Large Scale Integration Systems, Vol.21, No.1, pp.1-13, January, 2013.
[pdf]
ACM TODAESMichael B. Healy, Fayez Mohamood, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Integrated Microarchitectural Floorplanning and Runtime Controller for Inductive Noise Mitigation." ACM Transactions on Design Automation of Electronic Systems, Vol.16, Issue 4, October, 2011.
[pdf]
IEEE TCADXin Zhao, Dean Lewis, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Low-Power Clock Tree Design for Pre-Bond Testing of 3D Stacked ICs." In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 30, Issue 5, pp.732-745, 2011.
[pdf]
JILPAhmad Sharif and Hsien-Hsin S. Lee. "Data Prefetching by Exploiting Global and Local Access Patterns." In the Journal of Instruction-Level Parallelism, Volume 13, 2011, ISSN 1942-9525.
[pdf]
IEEE MICRONak Hee Seong, Dong Hyuk Woo, and Hsien-Hsin S. Lee. "Security Refresh: Protect Phase-Change Memory against Malicious Wear-out." In IEEE MICRO special issue on Top Picks from the Computer Architecture Conferences of 2010, pp.119-127, January/February, 2011.
[pdf]
IEEE D&THsien-Hsin S. Lee and Krishnendu Chakrabarty. "Test Challenges for 3D Integrated Circuits." In IEEE Design & Test of Computers, Special Issue on 3D IC Design and Test, Vol.26, Issue 5, pg. 26-35, Sept/Oct, 2009.
[pdf]
JSAFayez Mohamood, Mrinmoy Ghosh, and Hsien-Hsin S. Lee. "DLL-Conscious Instruction Fetch Optimization for SMT Processors." In Journal of Systems Architecture, 54, pp.1089-1100, 2008.
IEEE TCXiaotong Zhuang and Hsien-Hsin S. Lee. "Reducing Cache Pollution via Dynamic Data Prefetch Filtering." In IEEE Transactions on Computers, Vol. 56, No.1, pp.18-31, January, 2007.
[pdf]
JILPHsien-Hsin S. Lee, Gary S. Tyson, and Matthew K. Farrens. "Improving Bandwidth Utilization using Eager Writebacks." In Journal of Instruction-Level Parallelism, Vol. 3, 2001.
[pdf]
ITJPaul Zagacki, Deep Buch, Emile Hsieh, Daniel Melaku, Vladimir Pentkovski, and Hsien-Hsin Lee. "Architecture of a 3D Software Stack for Peak Pentium III Processor Performance." In Intel Technology Journal, Q2, May, 1999.
[pdf]
100 Binney Street
Cambridge, MA 02142

http://hsienhsinlee.github.io
650-709-9452