Georgia Tech > CoE > ECE > MARS [ MARS | People | Research | Publications | Software | Internal ]
All Papers Journal Articles Conference Papers Workshop and Poster Book Chapters Theses

All Technical Papers (by date)
Systems for Machine Learning
Sustainability
Conventional Processor Architecture and Compilers, Performance Modeling
Secure, Dependable and Autonomic Computing, DRM
Embedded Computing
Low-Power Techniques
FPGA Techniques
3D ICs, SoC, Physical Design and EDA Tools
Multicore, Parallel Architecture and Systems
Support for 3D Graphics



All Refereed Papers

IEEE MICROUdit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu. "Architectural CO2 Footprint Tool: Designing Sustainable Computer Systems With an Architectural Carbon Modeling Tool." In IEEE MICRO special issue on Top Picks from the Computer Architecture Conferences of 2022, Volume 43, Issue 4, pp.107-117, 2023.
MLSys-2022Carole-Jean Wu, Ramya Raghavendra, Udit Gupta, Bilge Acun, Newsha Ardalani, Kiwan Maeng, Gloria Chang, Fiona Aga Behram, James Huang, Charles Bai, Michael Gschwind, Anurag Gupta, Myle Ott, Anastasia Melnikov, Salvatore Candido, David Brooks, Geeta Chauhan, Benjamin Lee, Hsien-Hsin S. Lee, Bugra Akyildiz, Max Balandat, Joe Spisak, Ravi Jain, Mike Rabbat, Kim Hazelwood. "Sustainable AI: Environmental Implications, Challenges and Opportunities." In Proceedings of the 5th Conference on Machine Learning and Systems, Santa Clara, CA, August, 2022.
[pdf]
ISCA-49Udit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu. "ACT: Designing Sustainable Computer Systems with an Architectural Carbon Modeling Tool." In Proceedings of the 49th ACM/IEEE International Symposium on Computer Architecture, New York City, New York, pp.784-799, June, 2022.
[pdf] [slides]
IEEE MICROUdit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu. "Chasing Carbon: The Elusive Environmental Footprint of Computing." In IEEE MICRO special issue on Top Picks from the Computer Architecture Conferences of 2021, May/June, Vol.42, Issue 4, pp.37-47, 2022.
HPCA-27Udit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu. "Chasing Carbon: The Elusive Environmental Footprint of Computing." In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, pp.854-867, February, 2021.
[pdf] [slides]
100 Binney Street
Cambridge, MA 02142

http://hsienhsinlee.github.io
650-709-9452