Georgia Tech > CoE > ECE > MARS [ MARS | People | Research | Publications | Software | Internal ]
All Papers Journal Articles Conference Papers Workshop and Poster Book Chapters Theses

All Technical Papers (by date)
Systems for Machine Learning
Sustainability
Conventional Processor Architecture and Compilers, Performance Modeling
Secure, Dependable and Autonomic Computing, DRM
Embedded Computing
Low-Power Techniques
FPGA Techniques
3D ICs, SoC, Physical Design and EDA Tools
Multicore, Parallel Architecture and Systems
Support for 3D Graphics



All Refereed Papers

IEEE ComputerSungkap Yeo and Hsien-Hsin S. Lee. "SimWare: A Holistic Warehouse-scale Computer Simulator." In IEEE Computer, Volume 45, Number 9, pp.48-55, September, 2012.
[pdf]
Data CentersSungkap Yeo and Hsien-Hsin S. Lee. "Peeling the Power Onion of Data Centers." Chapter 3 in Energy Efficient Thermal Management of Data Centers, pp.137-168, Yogendra Joshi and Pramod Kumar (Editors), Springer, 2012.
[pdf]
ACM TODAESMichael B. Healy, Fayez Mohamood, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Integrated Microarchitectural Floorplanning and Runtime Controller for Inductive Noise Mitigation." ACM Transactions on Design Automation of Electronic Systems, Vol.16, Issue 4, October, 2011.
[pdf]
IEEE ComputerSungkap Yeo and Hsien-Hsin S. Lee. "Using Mathematical Modeling in Provisioning a Heterogeneous Cloud Computing Environment." In IEEE Computer, Volume 44, Number 8, pp.55-62, August, 2011.
[pdf]
ICCADXin Zhao, Dean L. Lewis, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs." In Proceedings of the 2009 International Conference on Computer-Aided Design, pp.184-190, San Jose, CA, November, 2009. (Nominated for the Best Paper Award by ICCAD-09)
[pdf]
ISLPEDMrinmoy Ghosh, Simon Ford, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Way Guard: A Segmented Counting Bloom Filter Approach to Reducing Energy for Set-Associative Caches." In International Symposium on Low Power Electronics and Design, pp.165-170, San Francisco, CA, August, 2009. (Selected as one of seven papers of the conference highlight for publicity and press.)
[pdf]
ACM OSRDong Hyuk Woo and Hsien-Hsin S. Lee. "PROPHET: Goal-Oriented Provisioning for Highly Tunable Multicore Processors in Cloud Computing." In ACM SIGOPS Operating Systems Review special issue on the Interaction among the OS, Compilers, and Multicore Processors, Vol. 43, No. 2, pp.102-103, April, 2009.
[pdf]
IEEE ComputerDong Hyuk Woo and Hsien-Hsin S. Lee. "Extending Amdahl's Law for Energy-Efficient Computing in the Many-Core Era." In IEEE Computer, Vol. 41, No. 12, pp.24-31, December, 2008.
[pdf]
IEEE MICRODong Hyuk Woo, Joshua B. Fryman, Allan D. Knies, Marsha Eng, and Hsien-Hsin S. Lee. "POD: A 3D-Integrated Broad-Purpose Acceleration Layer." In IEEE MICRO special issue on Accelerator Architectures, Vol. 28, No. 4, pp.28-40, July/August, 2008.
[pdf]
SAMOS VIIIChinnakrishnan S. Ballapuram and Hsien-Hsin S. Lee. "Improving TLB Energy for Java Applications on JVM." In Proceedings of the IEEE International Symposium on Systems, Architectures, Modeling and Simulation, pp.218-223, Samos, Greece, July, 2008.
[pdf] [slides]
MMCS08Hrishikesh Amur, Ripal Nathuji, Mrinmoy Ghosh, Karsten Schwan, and Hsien-Hsin S. Lee. "IdlePower: Application-Aware Management of Processor Idle States." In Workshop on Managed Many-Core Systems co-located with ACM/IEEE International Symposium on High Performance Distributed Computing, Boston, MA, June, 2008.
[pdf]
ASPLOS XIIIChinnakrishnan S. Ballapuram, Ahmad Sharif, and Hsien-Hsin S. Lee. "Exploiting Access Semantics and Program Behavior to Reduce Snoop Power in Chip Multiprocessors." In Proceedings of the 13th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, pp.60-69, Seattle, WA, March, 2008.
[pdf] [slides]
ASP-DACMichael Healy, Fayez Mohamood, Hsien-Hsin S. Lee, and Sung Kyu Lim. "A Unified Methodology for Power Supply Noise Reduction in Modern Microarchitecture Design." In Proceedings of the 13th IEEE/ACM Asia South Pacific Design Automation Conference, pp.611-616, Seoul, Korea, January, 2008.
[pdf]
MICRO-40Mrinmoy Ghosh and Hsien-Hsin S. Lee. "Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs." In Proceedings of the 40th ACM/IEEE International Symposium on Microarchitecture, pp.134-145, Chicago, IL, December, 2007.
[pdf] [slides]
ICPADS-07Mrinmoy Ghosh and Hsien-Hsin S. Lee. "Virtual Exclusion: An Architectural Approach to Reducing Leakage Energy in Caches for Multiprocessor Systems." In Proceedings of the 13th IEEE International Conference on Parallel and Distributed Systems, Hsinchu, Taiwan, December, 2007.
[pdf] [slides]
HPEC-07 Dong Hyuk Woo, Joshua B. Fryman, Allan D. Knies, Marsha Eng, and Hsien-Hsin S. Lee. "POD: A Parallel-On-Die Architecture." In the 11th Annual Workshop on High Performance Embedded Computing, Lexington, Massachusetts, September, 2007. (One of four finalists for the Best Paper Award.)
[pdf] [slides]
ASP-DACFayez Mohamood, Michael Healy, Sung Kyu Lim, and Hsien-Hsin S. Lee. "Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling." In Proceedings of the 12th Asia and South Pacific Design Automation Conference, pp.786-791, Yokohama, Japan, January, 2007.
[pdf] [slides]
MICRO-39Fayez Mohamood, Michael Healy, Sung Kyu Lim, and Hsien-Hsin S. Lee. "A Floorplan-Aware Dynamic Inductive Noise Controller for Reliable Processor Design." In Proceedings of the ACM/IEEE International Symposium on Microarchitecture, pp.3-14, Orlando, Florida, December, 2006.
[pdf] [slides]
IBM PAC2Mrinmoy Ghosh and Hsien-Hsin S. Lee. "DRAMdecay: Using Decay Counters to Reduce Energy Consumption in DRAMs." In Proceedings of the 3rd Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=AC2), Yorktown Heights, NY, October, 2006.
[pdf]
CASES-06Dong Hyuk Woo, Mrinmoy Ghosh, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Reducing Energy of Virtual Cache Synonym Lookup using Bloom Filters." In Proceedings of the ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.179-189, Seoul, Korea, October, 2006.
[pdf] [slides]
CASES-06Chinnakrishnan Ballapuram, Kiran Puttaswamy, Gabriel H. Loh, and Hsien-Hsin S. Lee. "Entropy-based Low Power Data TLB Design." In Proceedings of the ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.304-311, Seoul, Korea, October, 2006.
[pdf] [slides]
ARCS-06Mrinmoy Ghosh, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Efficient System-on-Chip Energy Management with a Segmented Bloom Filter." In Proceedings of the 19th International Conference on Architecture of Computing Systems, pp. 283-297,Frankfurt/Main, Germany, March, 2006.
[pdf] [slides]
ISLPEDChinnakrishnan S. Ballapuram, Hsien-Hsin S. Lee, and Milos Prvulovic. "Synonymous Address Compaction for Energy Reduction in Data TLB." In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED-05), pp. 357-362, San Diego, California, August, 2005.
[pdf] [slides]
SOCCMrinmoy Ghosh, Weidong Shi, and Hsien-Hsin S. Lee. "CoolPression - A Hybrid Significance Compression Technique for Reducing Energy in Caches." In Proceedings of the IEEE International System-On-Chip Conference, pp. 399-402, Santa Clara, California, September, 2004.
[pdf] [slides]
ACSACMongkol Ekpanyapong, Pinar Korkmaz, and Hsien-Hsin S. Lee. "Choice Predictor for Free." In Proceedings of the 9th Asia-Pacific Computer Systems Architecture Conference, pp. 399-413, Beijing, China, September, 2004.
[pdf] [slides]
ICCADYuvraj S. Dhillon, Abdulkadir U. Diril, Abhijit Chatterjee, and Hsien-Hsin S. Lee. "Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level." In Digest of Technical Papers of the International Conference on Computer-Aided Design, pp.693-700, San Jose, California, November, 2003.
[pdf] [slides]
IEEE MICROJoshua B. Fryman, Chad M. Huneycutt, Hsien-Hsin S. Lee, Kenneth M. Mackenzie, and David E. Schimmel. "Energy Efficient Network Memory for Ubiquitous Devices." In IEEE MICRO special issue on Power Complexity Aware Design, pp.60-70, September/October, 2003.
[pdf]
ISLPEDHsien-Hsin S. Lee and Chinnakrishnan S. Ballapuram. "Energy Efficient D-TLB and Data Cache using Semantic-Aware Multilateral Partitioning." In Proceedings of the International Symposium on Low Power Electronics and Design, pp. 306-311, Seoul, Korea, August, 2003.
[pdf] [slides]
WCEDHsien-Hsin S. Lee, Joshua B. Fryman, A. Utku Diril, and Yuvraj S. Dhillon. "The Elusive Metric for Low-Power Architecture Research." In the Workshop on Complexity-Effective Design in conjunction with the 30th International Symposium on Computer Architecture, San Diego, California, June, 2003.
[pdf] [slides]
CASESHsien-Hsin S. Lee and Gary S. Tyson. "Region-based Caching: an Energy Efficient Memory Architecture for Embedded Processors." In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.120-127, San Jose, California, November, 2000.
[pdf]
100 Binney Street
Cambridge, MA 02142

http://hsienhsinlee.github.io
650-709-9452