Georgia Tech > CoE > ECE > MARS [ MARS | People | Research | Publications | Software | Internal ]
All Papers Journal Articles Conference Papers Workshop and Poster Book Chapters Theses

All Technical Papers (by date)
Systems for Machine Learning
Sustainability
Conventional Processor Architecture and Compilers, Performance Modeling
Secure, Dependable and Autonomic Computing, DRM
Embedded Computing
Low-Power Techniques
FPGA Techniques
3D ICs, SoC, Physical Design and EDA Tools
Multicore, Parallel Architecture and Systems
Support for 3D Graphics



Refereed Conference Papers

2024

ASPLOS'24Maximilian Lam, Jeff Johnson, Wenjie Xiong, Kiwan Maeng, Udit Gupta, Yang Li, Liangzhen Lai, Ilias Leontiadis, Minsoo Rhu, Hsien-Hsin S. Lee, Vijay Janapa Reddi, Gu-Yeon Wei, David Brooks, G. Edward Suh. "GPU-based Private Information Retrieval for On-Device Machine Learning." In the ACM International Conference on Architectural Support for Programming Languages and Operating Systems, San Diego, California, April, 2024.
[pdf]

2023

ICML-2023Sanjay Kariyappa, Chuan Guo, Kiwan Maeng, Wenjie Xiong, Edward Suh, Moinuddin K. Qureshi, and Hsien-Hsin S. Lee. "Cocktail Party Attack: Breaking Aggregation-based Privacy in Federated Learning Using Independent Component Analysis." In the 40th International Conference on Machine Learning, Honolulu, Hawaii, July, 2023.
[pdf] [slides]
TinyML-23Vivek Parmer, Syed Shakib Sarwar, Ziyun Li, Hsien-Hsin S. Lee, Barbara De Salvo, Manan Suri. "Memory-Oriented Design Space Exploration of Edge-AI Hardware for XR Applications." In tinyML Research Symposium, 2023.
[pdf] [slides]
ICLR-2023Jiaxun Cui, Xiaomeng Yang, Mulong Luo, Geunbae Lee, Peter Stone, Hsien-Hsin S. Lee, Benjamin Lee, G. Edward Suh, Wenjie Xiong, Yuandong Tian. "MACTA: A Multi-agent Reinforcement Learning Approach for Cache Timing Attacks and Detection." In the 11th International Conference on Learning Representations, Kigali, Rwanda, May, 2023.
[pdf]
HPCA-29Mulong Luo, Wenjie Xiong, Geunbae Lee, Yueying Li, Xiaomeng Yang, Amy Zhang, Yuandong Tian, Hsien-Hsin S. Lee, G. Edward Suh. "AutoCAT: Reinforcement Learning for Automated Exploration of Cache Timing-Channel Attacks." In Proceedings of the 29th IEEE International Symposium on High-Performance Computer Architecture, Montreal, QC, Canada, Feb, 2023.
[pdf] [slides]

2022

MLSys-2022Carole-Jean Wu, Ramya Raghavendra, Udit Gupta, Bilge Acun, Newsha Ardalani, Kiwan Maeng, Gloria Chang, Fiona Aga Behram, James Huang, Charles Bai, Michael Gschwind, Anurag Gupta, Myle Ott, Anastasia Melnikov, Salvatore Candido, David Brooks, Geeta Chauhan, Benjamin Lee, Hsien-Hsin S. Lee, Bugra Akyildiz, Max Balandat, Joe Spisak, Ravi Jain, Mike Rabbat, Kim Hazelwood. "Sustainable AI: Environmental Implications, Challenges and Opportunities." In Proceedings of the 5th Conference on Machine Learning and Systems, Santa Clara, CA, August, 2022.
[pdf]
ISCA-49Udit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu. "ACT: Designing Sustainable Computer Systems with an Architectural Carbon Modeling Tool." In Proceedings of the 49th ACM/IEEE International Symposium on Computer Architecture, New York City, New York, pp.784-799, June, 2022.
[pdf] [slides]
ISPASS-2022Yongqin Wang, Edward Suh, Wenjie Xiong, Benjamin Lefaudeux, Brian Knott, Murali Annavaram, Hsien-Hsin S. Lee. "Characterization of MPC-based Private Inferences for Transformer-based Models." In Proceedings of the 2022 ACM/IEEE International Symposium on Performance Analysis of Systems and Software, Singapore, pp.187-197, May, 2022.
[pdf] [slides]
HPCA-28Wenjie Xiong, Liu Ke, Dimitrije Jankov, Michael Kounavis, Xiaochen Wang, Eric Northup, Jie Amy Yang, Bilge Acun, Carole-Jean Wu, Ping Tak Peter Tang, G. Edward Suh, Xuan Zhang, and Hsien-Hsin S. Lee.. "SecNDP: Secure Near-Data Processing with Untrusted Memory." In Proceedings of the 28th IEEE International Symposium on High Performance Computer Architecture, Seoul, South Korea, pp.244-258, April, 2022.
[pdf] [slides]
HPCA-28Liu Ke, Udit Gupta, Mark Hempstead, Carole-Jean Wu, Hsien-Hsin S. Lee, and Xuan Zhang. "Hercules: Heterogeneity-aware Inference Serving for At-scale Personalized Recommendation." In Proceedings of the 28th IEEE International Symposium on High Performance Computer Architecture, Seoul, South Korea, pp.141-154, April, 2022.
[pdf] [slides]

2021

MICRO-54Udit Gupta, Samuel Hsia, Jeff Zhang, Mark Wilkening, Javin Pombra, Hsien-Hsin S. Lee, Gu-Yeon Wei, Carole-Jean Wu, and David Brooks. "RecPipe: Co-Designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance." In Proceedings of the IEEE International Symposium on Microarchitecture, Athens, Greece, pp.870-884, October, 2021.
[pdf]
HPCA-27Udit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu. "Chasing Carbon: The Elusive Environmental Footprint of Computing." In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, pp.854-867, February, 2021.
[pdf] [slides]
HPCA-27Brandon Reagen, Woo-Seok Choi, Yeongil Ko, Vincent T. Lee, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks. "Cheetah: Optimizing and Accelerating Homomorphic Encryption for Private Inference." In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, pp.26-39, February, 2021.
[pdf] [slides]

2020

ISCA-47Udit Gupta, Samuel Hsia, Vikram Saraph, Xiaodong Wang, Brandon Reagen, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, and Carole-Jean Wu. "DeepRecSys: A System for Optimizing End-to-End At-Scale Neural Recommendation Inference." In Proceedings of the 47th ACM/IEEE International Symposium on Computer Architecture, Valencia, Spain, pp.982-995, June, 2020.
[pdf] [slides]
ISCA-47Liu Ke, Udit Gupta, Benjamin Y. Cho, David Brooks, Vikas Chandra, Utku Diril, Amin Firoozshahian, Kim Hazelwood, Bill Jia, Hsien-Hsin S. Lee, Meng Li, Bert Maher, Dheevatsa Mudigere, Maxim Naumov, Martin Schatz, Mikhail Smelyanskiy, Xiaodong Wang, Brandon Reagen, Carole-Jean Wu, Mark Hempstead, and Xuan Zhang. "RecNMP: Accelerating Personalized Recommendation with Near-Memory Processing." In Proceedings of the 47th ACM/IEEE International Symposium on Computer Architecture, Valencia, Spain, pp.790-803, June, 2020.
[pdf] [slides]
HPCA-26Udit Gupta, Carole-Jean Wu, Xiaodong Wang, Maxim Naumov, Brandon Reagen, David Brooks, Bradford Cottel, Kim Hazelwood, Mark Hempstead, Bill Jia, Hsien-Hsin S. Lee, Andrey Malevich, Dheevatsa Mudigere, Mikhail Smelyanskiy, Liang Xiong, Xuan Zhang. "The Architectural Implications of Facebook's DNN-based Personalized Recommendation." In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, San Diego, CA, pp.488-501, February, 2020.
[pdf] [slides]

2017

DATE-2017Tianjian Li, Yan Han, Xiaoyao Liang, Hsien-Hsin S. Lee, and Li Jiang. "Fault Clustering Technique for 3D Memory BISR." In Proceedings of the 2017 Design, Autoation and Test in Europe Conference and Exhibition (DATE), Lausanne, Switzerland, March, 2017.
[pdf]

2014

MICRO-47Jen-Cheng Huang, Joo Hwan Lee, Hyesoon Kim, and Hsien-Hsin S. Lee. "GPUMech: GPU Performance Modeling Technique based on Interval Analysis." In Proceedings of the 47th ACM/IEEE International Symposium on Microarchitecture, pp.268-279, Cambridge, UK, December, 2014.
[pdf]
SoCC-14Sungkap Yeo, Mohammad M. Hossain, Jen-Cheng Huang, and Hsien-Hsin S. Lee. "ATAC: Ambient Temperature-Aware Capping for Power Efficient Datacenters." In Proceedings of the ACM Symposium on Cloud Computing, pp.17:1-17:14, Seattle, WA, November, 2014.
[pdf] [slides]
IPDPSJen-Cheng Huang, Lifeng Nai, Hyesoon Kim, and Hsien-Hsin S. Lee. "TBPoint: Reducing Simulation Time for Large-Scale GPGPU Kernels." In the 28th International Symposium on Parallel & Distributed Processing Symposium, Phoenix, AZ, 2014.
[pdf]
CF-14Lifeng Nai, Yinglong Xia, Ching-Yung Lin, Bo Hong, and Hsien-Hsin S. Lee. "Cache-Conscious Graph Collaborative Filtering on Multi-socket Multicore Systems." In Proceedings of the ACM International Conference on Computing Frontiers, Cagliari, Italy, May, 2014.
[pdf]

2013

ISCA-40Nak Hee Seong, Sungkap Yeo, and Hsien-Hsin S. Lee. "Tri-Level-Cell Phase Change Memory: Toward an Efficient and Reliable Memory System." In Proceedings of the 40th International Symposium on Computer Architecture, pp.440-451, Tel-Aviv, Israel, June, 2013.
[pdf] [slides]

2012

CloudComMohammad M. Hossain, Jen-Cheng Huang, and Hsien-Hsin S. Lee. "Migration Energy-Aware Workload Consolidation in Enterprise Clouds." In Proceedings of the IEEE International Conference on Cloud Computing Technology and Science, pp.405-410, December, 2012.
[pdf]
ICCSAHong Jun Choi, Young Jin Park, Hsien-Hsin Lee, and Cheol Hong Kim. "Adaptive Dynamic Frequency Scaling for Thermal-Aware 3D Multi-core Processors." In the Proceedings of the 12th International Conference on Computational Science and Its Applications, pp.602-612, Salvador de Bahia, Brazil, 2012.
[pdf]
ISSCCDae Hyun Kim, Krit Athikulwongse, Michael B. Healy, Mohammad M. Hossain, Moongon Jung, Ilya Khorosh, Gokul Kumar, Young-Joon Lee, Dean L. Lewis, Tzu-Wei Lin, Chang Liu, Shreepad Panth, Mohit Pathak, Minzhen Ren, Guanhao Shen, Taigon Song, Dong Hyuk Woo, Xin Zhao, Joungho Kim, Ho Choi, Gabriel H. Loh, Hsien-Hsin S. Lee, and Sung Kyu Lim. "3D-MAPS: 3D Massively Parallel Processor with Stacked Memory." In Technical Digest of the IEEE International Solid-State Circuits Conference, pp.188-190, San Francisco, CA, 2012.
[pdf] [slides]
3DICXiaodong Wang, Dilip Vasudevan, and Hsien-Hsin S. Lee. "Global Built-In Self-Repair for 3-D Memories with Redundancy Sharing and Parallel Testing." In Proceedings of the IEEE International 3D System Integration Conference, Osaka, Japan, 2012.
[pdf] [slides]

2011

ANCSJen-Cheng Huang, Matteo Monchiero, Yoshio Turner, and Hsien-Hsin S. Lee. "Ally: OS-Transparent Packet Inspection Using Sequestered Cores." In Proceedings of the ACM/IEEE Symposium on Architectures for Networking and Communications Systems, pp.1-11, Brooklyn, NY, October, 2011. (Best Paper Award of ANCS 2011)
[pdf] [slides]
ICCDDean L. Lewis, Shreepad Panth, Xin Zhao, Sung Kyu Lim, and Hsien-Hsin S. Lee. "Designing 3D Test Wrappers for Pre-bond and Post-bond Test of 3D Embedded Cores." In Proceedings of the XXIX IEEE International Conference on Computer Design, pp.90-95, University of Massachusetts, Amherst, USA, October, 2011.
[pdf] [slides]
ICPPMrinmoy Ghosh, Ripal Nathuji, Min Lee, Karsten Schwan, and Hsien-Hsin S. Lee. "Symbiotic Scheduling for Shared Caches in Multi-Core Systems Using Memory Footprint Signature." In Proceedings of the 40th IEEE International Conference on Parallel Processing, pp.11-20, Taipei, Taiwan, September, 2011.
[pdf] [slides]
MWSCASDong Hyuk Woo, Nak Hee Seong, and Hsien-Hsin S. Lee. "Heterogeneous Die Stacking of SRAM Row Cache and 3-D DRAM: An Empirical Design Evaluation." In Proceedings of the 54th IEEE International Midwest Symposium on Circuits and Systems, pp.1-4, Seoul, Korea, August, 2011. (An Invited Paper)
[pdf] [slides]

2010

MICRO-43Nak Hee Seong, Dong Hyuk Woo, Vijayalakshmi Srinivasan, Jude A. Rivers, and Hsien-Hsin S. Lee. "SAFER: Stuck-At-Fault Error Recovery for Memories." In Proceedings of the 43th ACM/IEEE International Symposium on Microarchitecture, pp.115-124, Atlanta, Georgia, December, 2010.
[pdf] [slides]
CICCMichael B. Healy, Krit Athikulwongse, Rohan Goel, Mohammad M. Hossain, Dae Hyun Kim, Young-Joon Lee, Dean L. Lewis, Tzu-Wei Lin, Chang Liu, Moongon Jung, Brian Ouellette, Mohit Pathak, Hemant Sane, Guanhao Shen, Dong Hyuk Woo, Xin Zhao, Gabriel H. Loh, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Design and Analysis of 3D-MAPS: A Many-Core 3D Processor with Stacked Memory." In Proceedings of the IEEE Custom Integrated Circuits Conference, San Jose, California, September, 2010. (Intel/CICC Student Scholarship Award)
[pdf]
ISCA-37Nak Hee Seong, Dong Hyuk Woo, and Hsien-Hsin S. Lee. "Security Refresh: Prevent Malicious Wear-out and Increase Durability for Phase-Change Memory with Dynamically Randomized Address Mapping." In Proceedings of the 37th International Symposium on Computer Architecture, pp.383-394, Saint-Malo, France, June, 2010. (One of the 11 papers selected as IEEE MICRO's Top Picks from the Computer Architecture Conferences of 2010)
[pdf] [slides]
ASPLOS XVDong Hyuk Woo and Hsien-Hsin S. Lee. "COMPASS: A Programmable Data Prefetcher Using Idle GPU Shaders." In Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, pp.297-309, Pittsburgh, PA, March, 2010.
[pdf] [slides]
HPCA-16Dong Hyuk Woo, Nak Hee Seong, Dean L. Lewis, and Hsien-Hsin S. Lee. "An Optimized 3D-Stacked Memory Architecture by Exploiting Excessive, High-Density TSV Bandwidth." In Proceedings of the 16th International Symposium on High-Performance Computer Architecture, pp.429-440, Bangalore, India, January, 2010.
[pdf] [slides]

2009

ICCADXin Zhao, Dean L. Lewis, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs." In Proceedings of the 2009 International Conference on Computer-Aided Design, pp.184-190, San Jose, CA, November, 2009. (Nominated for the Best Paper Award by ICCAD-09)
[pdf]
3DICDean L. Lewis and Hsien-Hsin S. Lee. "Architectural Evaluation of 3D Stacked RRAM Caches." In IEEE International 3D System Integration Conference, San Francisco, CA, September, 2009.
[pdf]
ISLPEDMrinmoy Ghosh, Simon Ford, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Way Guard: A Segmented Counting Bloom Filter Approach to Reducing Energy for Set-Associative Caches." In International Symposium on Low Power Electronics and Design, pp.165-170, San Francisco, CA, August, 2009. (Selected as one of seven papers of the conference highlight for publicity and press.)
[pdf]
ISVLSIDean L. Lewis and Hsien-Hsin S. Lee. "Testing Circuit-Partitioned 3D IC Designs." In IEEE Computer Society Annual Symposium on VLSI, Tampa, FL, May, 2009.
[pdf] [slides]
ISVLSIDean L. Lewis, Sudhakar Yalamanchili, and Hsien-Hsin S. Lee. "High Performance Non-blocking Switch Design in 3D Die-Stacking Technology." In IEEE Computer Society Annual Symposium on VLSI, Tampa, FL, May, 2009.
[pdf] [slides]
ASP-DACMichael Healy, Hsien-Hsin S. Lee, Gabriel H. Loh, and Sung Kyu Lim. "Thermal Optimization in Multi-Granularity Multi-Core Floorplanning." In Proceedings of the 14th IEEE/ACM Asia South Pacific Design Automation Conference, pp.43-48, Yokohama, Japan, 2009.
[pdf]

2008

MICRO-41Vikas R. Vasisht and Hsien-Hsin S. Lee. "SHARK: Architectural Support for Autonomic Protection Against Stealth by Rootkit Exploits." In Proceedings of the 41st ACM/IEEE International Symposium on Microarchitecture, pp.106-116, Lake Como, Italy, November, 2008.
[pdf] [slides]
SAMOS VIIIChinnakrishnan S. Ballapuram and Hsien-Hsin S. Lee. "Improving TLB Energy for Java Applications on JVM." In Proceedings of the IEEE International Symposium on Systems, Architectures, Modeling and Simulation, pp.218-223, Samos, Greece, July, 2008.
[pdf] [slides]
GH-08Ahmad Sharif and Hsien-Hsin S. Lee. "Total Recall: A Debugging Framework for GPUs." In Proceedings of the ACM SIGGRAPH/Eurographics Workshop of Graphics Hardware, pp.13-20, Sarajevo, Bosnia-Herzegovina, June, 2008.
[pdf] [slides]
SPAARichard M. Yoo and Hsien-Hsin S. Lee. "Adaptive Transaction Scheduling for Transactional Memory Systems." In Proceedings of the 20th ACM Symposium on Parallelism in Algorithms and Architectures in the Special Track on Hardware and Software Techniques to Improve the Programmability of Multicore Machines, pp.169-178, Munich, Germany, June, 2008.
[pdf] [slides]
SPAARichard M. Yoo, Yang Ni, Adam Welc, Bratin Saha, Ali-Reza Adl-Tabatabai, and Hsien-Hsin S. Lee. "Kicking the Tires of Software Transactional Memory: Why the Going Gets Tough." In Proceedings of the 20th ACM Symposium on Parallelism in Algorithms and Architectures in the Special Track on Hardware and Software Techniques to Improve the Programmability of Multicore Machines, pp.265-274, Munich, Germany, June, 2008.
[pdf] [slides]
ASPLOS XIIIChinnakrishnan S. Ballapuram, Ahmad Sharif, and Hsien-Hsin S. Lee. "Exploiting Access Semantics and Program Behavior to Reduce Snoop Power in Chip Multiprocessors." In Proceedings of the 13th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, pp.60-69, Seattle, WA, March, 2008.
[pdf] [slides]
ASP-DACMichael Healy, Fayez Mohamood, Hsien-Hsin S. Lee, and Sung Kyu Lim. "A Unified Methodology for Power Supply Noise Reduction in Modern Microarchitecture Design." In Proceedings of the 13th IEEE/ACM Asia South Pacific Design Automation Conference, pp.611-616, Seoul, Korea, January, 2008.
[pdf]

2007

MICRO-40Mrinmoy Ghosh and Hsien-Hsin S. Lee. "Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs." In Proceedings of the 40th ACM/IEEE International Symposium on Microarchitecture, pp.134-145, Chicago, IL, December, 2007.
[pdf] [slides]
ICPADS-07Eric Fontaine and Hsien-Hsin S. Lee. "Optimizing Katsevich Image Reconstruction Algorithm on Multicore Processors." In Proceedings of the 13th IEEE International Conference on Parallel and Distributed Systems, Hsinchu, Taiwan, December, 2007.
[pdf] [slides]
ICPADS-07Mrinmoy Ghosh and Hsien-Hsin S. Lee. "Virtual Exclusion: An Architectural Approach to Reducing Leakage Energy in Caches for Multiprocessor Systems." In Proceedings of the 13th IEEE International Conference on Parallel and Distributed Systems, Hsinchu, Taiwan, December, 2007.
[pdf] [slides]
ITC-07Dean L. Lewis and Hsien-Hsin S. Lee. "A Scan-Island Based Design Enabling Pre-bond Testability in Die-Stacked Microprocessors." In Proceedings of the International Test Conference, Santa Clara, CA, October, 2007.
[pdf] [slides]
IISWC-07Richard M. Yoo, Hsien-Hsin S. Lee, Han Lee and Kingsum Chow. "Hierarchical Means: Single Number Benchmarking with Workload Cluster Analysis." In Proceedings of the 2007 IEEE International Symposium on Workload Characterization, pp.204-213, Boston, MA, September, 2007.
[pdf] [slides]
FPL-07Taeweon Suh, Shih-Lien L. Lu and Hsien-Hsin S. Lee. "An FPGA Approach to Quantifying Coherence Traffic Efficiency on Multiprocessor Systems." In Proceedings of the 17th International Conference on Field Programmable Logic and Applications, Amsterdam, Netherlands, August, 2007. (Nominated for the Best Paper Award.)
[pdf] [slides]
CF-07Weidong Shi and Hsien-Hsin S. Lee. "Accelerating Memory Decryption and Authentication with Frequent Value Prediction." In Proceedings of the ACM International Conference on Computing Frontiers, pp.35-46, Ischia, Italy, May, 2007.
[pdf] [slides]
ASP-DACFayez Mohamood, Michael Healy, Sung Kyu Lim, and Hsien-Hsin S. Lee. "Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling." In Proceedings of the 12th Asia and South Pacific Design Automation Conference, pp.786-791, Yokohama, Japan, January, 2007.
[pdf] [slides]

2006

MICRO-39Fayez Mohamood, Michael Healy, Sung Kyu Lim, and Hsien-Hsin S. Lee. "A Floorplan-Aware Dynamic Inductive Noise Controller for Reliable Processor Design." In Proceedings of the ACM/IEEE International Symposium on Microarchitecture, pp.3-14, Orlando, Florida, December, 2006.
[pdf] [slides]
MICRO-39Weidong Shi and Hsien-Hsin S. Lee. "Authentication Control Point and its Implications for Secure Processor Design." In Proceedings of the ACM/IEEE International Symposium on Microarchitecture, pp.103-112, Orlando, Florida, December, 2006.
[pdf] [slides]
IBM PAC2Mrinmoy Ghosh and Hsien-Hsin S. Lee. "DRAMdecay: Using Decay Counters to Reduce Energy Consumption in DRAMs." In Proceedings of the 3rd Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=AC2), Yorktown Heights, NY, October, 2006.
[pdf]
IISWC-06Richard M. Yoo, Han Lee, Kingsum Chow and Hsien-Hsin S. Lee. "Constructing a Non-Linear Model with Neural Networks For Workload Characterization." In Proceedings of the 2006 IEEE International Symposium on Workload Characterization, pp.150-159, San Jose, California, October, 2006.
[pdf] [slides]
CASES-06Dong Hyuk Woo, Mrinmoy Ghosh, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Reducing Energy of Virtual Cache Synonym Lookup using Bloom Filters." In Proceedings of the ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.179-189, Seoul, Korea, October, 2006.
[pdf] [slides]
CASES-06Chinnakrishnan Ballapuram, Kiran Puttaswamy, Gabriel H. Loh, and Hsien-Hsin S. Lee. "Entropy-based Low Power Data TLB Design." In Proceedings of the ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.304-311, Seoul, Korea, October, 2006.
[pdf] [slides]
GH-06Weidong Shi, Hsien-Hsin S. Lee, Richard M. Yoo, and Alexandra Boldyreva. "A Digital Rights Enabled Graphics Processing System." In Proceedings of the ACM SIGGRAPH/Eurographics Workshop of Graphics Hardware, pp.17-26, Vienna, Austria, September, 2006.
[pdf] [slides]
PACT-15Lan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, and Hsien-Hsin S. Lee. "A Low-cost Memory Remapping Scheme for Address Bus Protection." In Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniuqes, pp.74-83, Seattle, WA, September, 2006.
[pdf] [slides]
ISCA-33Weidong Shi, Hsien-Hsin S. Lee, Laura Falk, and Mrinmoy Ghosh. "An Integrated Framework for Dependable and Revivable Architecture Using Multicore Processors." In Proceedings of the 33rd International Symposium on Computer Architecture, pp. 102-113, Boston, MA, June, 2006.
[pdf] [slides]
ARCS-06Mrinmoy Ghosh, Emre Özer, Stuart Biles, and Hsien-Hsin S. Lee. "Efficient System-on-Chip Energy Management with a Segmented Bloom Filter." In Proceedings of the 19th International Conference on Architecture of Computing Systems, pp. 283-297,Frankfurt/Main, Germany, March, 2006.
[pdf] [slides]
DATE-06Michael Healy, Mario Vittes, Mongkol Ekpanyapong, Chinnakrishnan Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee, and Gabriel H. Loh. "Microarchitectural Floorplanning Under Performance and Temperature Tradeoff." In Proceedings of the Design, Automation and Test in Europe, pp.1288-1293, Munich, Germany, March, 2006.
[pdf] [slides]
HPCA-12Weidong Shi, Joshua B. Fryman, Guofei Gu, Hsien-Hsin S. Lee, Youtao Zhang, and Jun Yang. "InfoShield: A Security Architecture for Protecting Information Usage in Memory." In Proceedings of the 12th International Symposium on High-Performance Computer Architecture, pp.225-234, Austin, TX, February, 2006.
[pdf] [slides]

2005

HiPEACWeidong Shi, Chenghuai Lu, and Hsien-Hsin S. Lee. "Memory-centric Security Architecture." In Proceedings of the 2005 International Conference on High Performance Embedded Architectures and Compilers, pp.153-168, Barcelona, Spain, November, 2005.
[pdf] [slides]
IBM PAC2Fayez Mohamood, Mrinmoy Ghosh, and Hsien-Hsin S. Lee. "DLL-Conscious Instruction Fetch Optimization for SMT Processors." In Proceedings of the 2nd Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=AC2), pp.143-152, Yorktown Heights, NY, September, 2005. (Best Paper Selected by TPC)
[slides]
ISLPEDChinnakrishnan S. Ballapuram, Hsien-Hsin S. Lee, and Milos Prvulovic. "Synonymous Address Compaction for Energy Reduction in Data TLB." In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED-05), pp. 357-362, San Diego, California, August, 2005.
[pdf] [slides]
DAC-42Taeweon Suh, Daehyun Kim, and Hsien-Hsin S. Lee. "Cache Coherence Support for Non-Shared Bus Architecture on Heterogeneous MP SoCs." In Proceedings of the 42nd Design Automation Conference (DAC-42), pp.553-558, Anaheim, California, June, 2005.
[pdf] [slides]
ISCA-32Weidong Shi, Hsien-Hsin S. Lee, Mrinmoy Ghosh, Chenghuai Lu, and Alexandra Boldyreva. "High Efficiency Counter Mode Security Architecture via Prediction and Precomputation." In the Proceedings of the 32nd International Symposium on Computer Architecture, pp.14-24, Madison, Wisconsin, June, 2005.
[pdf] [slides]
ICACWeidong Shi, Hsien-Hsin S. Lee, Guofei Gu, Mrinmoy Ghosh, Laura Falk, and Trevor N. Mudge. "Intrusion Tolerant and Self-Recoverable Network Service System Using Security Enhanced Chip Multiprocessors." In the Proceedings of the 2nd International Conference on Autonomic Computing, pp.263-273, Seattle, Washington, June, 2005.
[pdf] [slides]
CFMartin Schulz, Brian S. White, Sally A. McKee, Hsien-Hsin S. Lee, and Jurgen Jeitner. "Owl: Next Generation System Monitoring." In Proceedings of the ACM Computing Frontiers 2005, pp.116-124, Ischia, Italy, May, 2005.
[pdf]
ISCASMongkol Ekpanyapong, Sung Kyu Lim, Chinnakrishnan Ballapuram, and Hsien-Hsin S. Lee. "Wire-driven Microarchitectural Design Space Exploration." In the Proceedings of the 2005 IEEE International Symposium on Circuits and Systems, pp.1867-1870, Kobe, Japan, May, 2005.
[pdf] [slides]

2004

DRMWeidong Shi, Hsien-Hsin S. Lee, Chenghuai Lu, and Tao Zhang. "Attacks and Risk Analysis for Hardware Supported Software Copy Protection Systems." In Proceedings of the 4th ACM Workshop on Digital Rights Management, pp. 54- 62, Washington D.C., October, 2004.
[pdf]
PACT-13Weidong Shi, Hsien-Hsin S. Lee, Mrinmoy Ghosh, and Chenghuai Lu. "Architectural Support for High Speed Protection of Memory Integrity and Confidentiality in Multiprocessor Systems." In Proceedings of the International Conference on Parallel Architecture and Compilation Techniques, pp.123-134, Antibes Juan-les-Pins, France, September, 2004.
[pdf] [slides]
CASESXiaotong Zhuang, Tao Zhang, Hsien-Hsin S. Lee, and Santosh Pande. "Hardware Assisted Control Flow Obfuscation for Embedded Processors." In Proceedings of the International Conference on Compilers Architecture Synthesis for Embedded Systems, pp.292-302, Washington D.C., September, 2004. (Best Paper Awarded)
[pdf] [slides]
SOCCMrinmoy Ghosh, Weidong Shi, and Hsien-Hsin S. Lee. "CoolPression - A Hybrid Significance Compression Technique for Reducing Energy in Caches." In Proceedings of the IEEE International System-On-Chip Conference, pp. 399-402, Santa Clara, California, September, 2004.
[pdf] [slides]
ACSACMongkol Ekpanyapong, Pinar Korkmaz, and Hsien-Hsin S. Lee. "Choice Predictor for Free." In Proceedings of the 9th Asia-Pacific Computer Systems Architecture Conference, pp. 399-413, Beijing, China, September, 2004.
[pdf] [slides]
DAC-41Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, and Sung Kyu Lim. "Profile-Guided Microarchitectural Floorplanning for Deep Submicron Processor Design." In Proceedings of the 41st Design Automation Conference, pp. 634-639, San Diego, California, June, 2004.
[pdf] [slides]
DATETaeweon Suh, Douglas M. Blough, and Hsien-Hsin S. Lee. "Supporting Cache Coherence in Heterogeneous Multiprocessor Systems." In Proceedings of the Design, Automation and Test in Europe Conference, pp.1150-1155, Paris, France, February, 2004.
[pdf] [slides]

2003

ICCADYuvraj S. Dhillon, Abdulkadir U. Diril, Abhijit Chatterjee, and Hsien-Hsin S. Lee. "Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level." In Digest of Technical Papers of the International Conference on Computer-Aided Design, pp.693-700, San Jose, California, November, 2003.
[pdf] [slides]
ICPPXiaotong Zhuang and Hsien-Hsin S. Lee. "A Hardware Based Cache Pollution Filtering Mechanism for Aggressive Prefetches." In Proceedings of the 2003 International Symposium on Parallel Processing, pp.286-293, Kaohsiung, Taiwan, October, 2003.
[pdf] [slides]
ISLPEDHsien-Hsin S. Lee and Chinnakrishnan S. Ballapuram. "Energy Efficient D-TLB and Data Cache using Semantic-Aware Multilateral Partitioning." In Proceedings of the International Symposium on Low Power Electronics and Design, pp. 306-311, Seoul, Korea, August, 2003.
[pdf] [slides]
CGOMikhail Smelyanskiy, Scott A. Mahlke, Edward S. Davidson, and Hsien-Hsin S. Lee. "Predicate-aware Scheduling: A Technique for Reducing Resource Constraints." In Proceedings of the Annual IEEE/ACM International Symposium on Code Generation and Optimization, pp.169-178, San Francisco, California, 2003.
[pdf] [slides]

2001

HPCA-07Hsien-Hsin S. Lee, Mikhail Smelyanskiy, Chris J. Newburn, and Gary S. Tyson. "Stack Value File: Custom Microarchitecture for the Stack." In Proceedings of the 7th IEEE International Symposium on High Performance Computer Architecture, pp.5-14, Monterrey, Mexico, January, 2001.
[pdf] [slides]

2000

MICRO-33Hsien-Hsin S. Lee, Gary S. Tyson, and Matthew K. Farrens. "Eager Writeback - a Technique for Improving Bandwidth Utilization." In Proceedings of the 33rd ACM/IEEE International Symposium on Microarchitecture, pp.11-21, Monterey, California, December, 2000. (Best Paper Awarded)
[pdf] [slides]
CASESHsien-Hsin S. Lee and Gary S. Tyson. "Region-based Caching: an Energy Efficient Memory Architecture for Embedded Processors." In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pp.120-127, San Jose, California, November, 2000.
[pdf]
ISPASSHsien-Hsin Lee, Youfeng Wu, and Gary Tyson. "Quantifying Instruction-Level Parallelism Limits on an EPIC Architecture." In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, pp.21-27, Austin, Texas, April, 2000.
[pdf]

1994

ICPPEric Boyd, Waqar Azeem, Hsien-Hsin Lee, Tien-Pao Shih, Shih-Hao Hung, and Edward Davidson. "A Hierarchical Approach to Modeling and Improving the Performance of Scientific Applications on the KSR1." In Proceedings of the 1994 International Conference on Parallel Processing, pp.188-192, St. Charles, Illinois, August, 1994.
[pdf]
100 Binney Street
Cambridge, MA 02142

http://hsienhsinlee.github.io
650-709-9452